散歩師・漫画居士のくだらなクラブ日記

ども、散歩師・漫画居士っす。散歩したり実働模型作ったりが趣味なんで、その時に思いついたこととか書くッス

工作

AN式ローレンツビーム誘導装置(ずるいぞ!まっすぐ走るんじゃー2号)その4

動く模型愛好会のミーティングには、参加しませんでしたが、終わってからもう2ヶ月経ちますね。顛末だけ書いときます。 結局、まっすぐ走るんじゃー2号は完成しませんでした。 調整前のコントローラRX側のコーディングは終わりました。足回りは電磁誘導車の…

AN式ローレンツビーム誘導装置(ずるいぞ!まっすぐ走るんじゃー2号)その4

TX: スピーカーのセパレーションが悪い。悪すぎる。前回はアンプも分けて、発振器のチェックだけしたのを忘れてた。 Tx:モールスの送信速度調整ができない問題。 QuartusのRTL Viewerのload値がMSB/lsbが反転してて、それに気づくのが難しく、結局simまで立…

G/I/L/N/R/U/V/W/Zコンとは?

1983年ファミコンよりも前の時代は、リモコンオモチャが花形で、さまざまな◯◯コンと呼ばれるリモコンがありました。模型とラジオ誌子供の科学誌や、オモチャの販促用語などで、使われてた言葉ですが、ネットで探しても出てこないモノもあり、記憶を元に書い…

A/B/C/D/E/F/G/H/J/S級アンプとは?

メモメモ =A級アンプ バイアスを入力振幅よりも深くとって、出力の歪を小さくしたアンプ。 バイアス分だけ消費電力が増え、また出力電力も大きくできない。 =B級アンプ 半波分だけバイアスしたアンプ =B級プッシュプル ハイサイドに半波分、ローサイドに半波…

AN式ローレンツビーム誘導装置(ずるいぞ!まっすぐ走るんじゃー2号)その3

- sim追加最大値 よく考えるとmax値は、最大振幅正弦波ではなく、矩形波なのに気がついて、simを追加。値が小さくなる。 本当に矩形波が最大なのか?も含めてよく分からず、延々考えたが、 クリップがいたずらして、おかしな値にナリきれてなかったことに気づ…

AN式ローレンツビーム誘導装置(ずるいぞ!まっすぐ走るんじゃー2号)その2

+sim:2H+dairy:0.5H=20.5Hr 結構sim抜けが多く、3箇所修正して、フルレンジで計算通り12'h7FFが出るようになった。 0,90,180度で12'h7FF,45度振幅√1/2で12'h5A8。これで、ゲインは正しいものとする。 バンド幅をどうするか決めかねてる。 現在fc=440Hzで1/√…

ヘッドホーンで周りが見える!超音波FMCWレーダ

今、抱えてるMakerプロジェクトが3種類。 OP16CPU、AN式誘導装置(まっすぐ走るんじゃー2)、そしてこの超音波FMCWレーダーです。 = FMCW FMCWレーダはドイツ語のwikipediaが一番まとまってるみたいです。(翻訳をポチっとして読んでください) で、読んでてピン…

1bit CPU BPU ふつーのVerilogで書くと

昨日の1bit CPU BPU(Bit Processor Unit) ですが ふつーのVerilogで書くとこんなカンジです。 もっとも、BPUのROM内容次第で変わるんで、サンプルコードを移植するとこんなカンジと言う事で。 Verilogの方が、ずっと読みやすく、FPGA実装すると、BPUのROM分…

1bit CPU BPU(Bit Processor Unit) 1984年エレクトロニクス実務シリーズ復刻版より

先日、アキバの書泉ブックタワーで見かけた復刻版です。中が見えなかったんですが、誘われてる気がしたので衝動買しました。結果的には当たりでしたね。 shop.cqpub.co.jp 本書には、「ビット処理システム」(BPU)言うなれば、1bit CPUとも言うべきシーケンサ…

OP16 CPU

もう'17年から、ボーッとopコード4bit固定、16種類のCPUを考えてるですが、 UMA向けの模型、AN式誘導機を優先するため、またもペンディングします。 特に割り込み周りが出来てなです。 いつ再開するかは未定。 現在の仕様はこんなカンジ。 -- ==============…

AN式ローレンツビーム誘導装置(ずるいぞ!まっすぐ走るんじゃー2号)

UMA(動く模型愛好会)の冬のミーティング「動く戦車オフ会」に向けて、 ずるいぞ!まっすぐ走るんじゃーの2号機。AN式ローレンツビーム誘導装置ロボを開発中です。 blogでも書かないと、進み出さないので。 = 原理 原理はこちら。 http://www1.odn.ne.jp/yasw…

ピカちんキットおたから探知機

2017に書き始めたネタですが、今更ですが公開します。 -- ピカちんキット おたから探知機 ピカちんキットS01 おたから探知機|バンダイ ホビーサイト 回路図はこんなカンジ 搭載されてるマイコンはNY8B071AS14 P7351EF 台湾の九齊科技股イ分有限公司(nyquest)…

CANDOの電池テスターのアナログメーター

今作ってるAN式誘装置は可聴域の可聴速度の音を使ってます。装置を作るのに、音量を知る必要があるんですが、デジタル表示だと、どうにも変化の具合が分からず。 部屋を探したんですがアナログメータが見つからず、CANDOで買ってきました。電池テスターです…

ステルス機を無効化する 航空機搭載型の合成開口レーダー

技術に明るい人なら、タイトルだけで「もう米軍で試作してるんじゃん?」と言いそうですが。 一昨日アキバで思いついたので、健忘にメモメモ 1) 合成開口レーダーを使えば、レーダの感度、分解能、速度を上げることができる。 2) 感度に全振りすれば、ステル…

ぺんてるサインペンを万年筆に改造

前々から思ってたんですが、ぺんてるのサインペン(商標)ってカッチョイイですよね。 あれがそのまま万年筆だったらいいなと、すっと思ってました。 改造も考えてたんですが、太さ的にはいらないので、諦めてました。 先日、出張でぺんてる・サインペンを使う…

FPGAで1pinでNTSCを出力する+5x7pix font OSD

力尽きたので、githubのリンクだけ。 github.com ドット周波数はD1の13.5MHz(720x480)でも、D2の4fsc(768x480)でもなく メガドラ/PCエンジンの12.27272MHz(640x480)の正方です。 正方系はあんまないよね。と。 FPGA 1pinでNTSCを出力 + 5x7px FONT OSD

ハピバスデーわし

誕生日のお祝いに赤飯菜の花そら豆インドカレー作りました。 ヨーグルト+牛乳+砂糖のラッシーも甘すぎるくらいでちょうど良かったです。 うまいは、うまいですよ。そら豆カレー店であったら食うかもくらい。 紫玉ねぎは、匂いが強いので、カレーにもってこい…

来年からのメモ帳は THESEUS_NOTE(テセウスノート) で決まり!

年末で、本屋にも文房具屋にもメモ帳が並ぶ季節になりました。 ステキなメモ帳がたくさんありますが、迷ったらTHESEUS NOTE(テセウスノート)はいかがでしょうか? THESEUS_NOTEとは 自分で作るノートですが、詳細はこんなのです。 定義としては、「同じ大きさ…

パイロット PeTiT1 のペン先を削って<EF>に改造した

パイロットの PeTiT 1 という万年筆が好きです。70年代の万年筆のように小さくて軽いのがとにかくいいです。ボディは全プラですが、フォルム自体は60~70年代スタイルで、本当にカッコイイです。 すごく好きなんですが、ペン太が<F>で、若干太いのが、どうも気</f>…

1chip MSX 本当に別品でコンパイル出来た。

うあうあ。普通にコンパイルできる方法が! 以前、コンフィグROMを2コ使えば、CYCLONEじゃなくても、別のFPGAでコンパイルできるじゃん!という記事を書きましたが(以下) mangakoji.hatenablog.com どうやら、コンフィグROM 1コでもコンパイルする方法があっ…

SNES(スーパーファミコン)用パッドの IF回路 for FPGA

SNES(スーパーファミコン)用のパッド IFの RTLコードです。 FPGAにでも使ってください。(license:PDS)で先週のファミコンパッド記事は珍しくアクセスがあったので(ほんのちょっとですが) 今週はスーファミ編です。pin配置はこちらを参考に ■SFC Development …

NES(ファミコン)用パッドの IF回路 for FPGA

NES(ファミコン)用のパッド IFの RTLコードです。 FPGAにでも使ってください。 PDSでpin配置はこちらを参考に ■NES DEV controller port pin outNES、ファミコン本体パッド P1、ファミコン本体外付けPADはpin配置が異なるだけで 同じ回路がつ変えます。 pad …

8bitworkshop のVerilogでゲーム書こうエミュレータ実機実装

8bitworkshop のVerilogでゲーム書こうエミュレータ 8bitworkshop IDE twitter.com そーとーにスゴイんです。 ぼくみたいなジジーでは思いつかないコーディングスタイルで、 度肝を抜かれます。 そして、モジュールの整理の仕方が潔い。 GitHubにコードが上…

まいどバカバカしいお笑いを

一つ買ってきました。 不動品の「笑い袋です」 ばらして見ると、 若干変態の130モータが、バージンのまま死蔵されてて、グリスが固まってました。 電圧かけたまま ちょっと 軸を回してやると、すんなり動きました この時期にプーリーベルトが、シリコン(ウレ…

【電子サイコロ】code golf してみた【Verilog】

電子サイコロとは? 電子サイコロは、電源を入れるとサイコロの目を模した1~6のパタンが、高速に変化して表示され、しばらくするといずれかの目を表示しながら止まる。という電子玩具です。 こんなカンジ。 www.elekit.co.jp ぼくが最初に見たのは、1978年こ…

1chip MSX 別品でコンパイル出来た

「1chip MSX 別品でコンパイル出来た」-- config ROMを増やさなくても、普通にコンパイルできるようです、 -- 2020-06-11th追記 -- 1chip MSXのRTLは別品に横展できないと思ってましたが、ちょっとひらめいてやってみたら、コンパイルは出来た- 問題点------…

一番かんたんなTHESEUS Note(テセウス・ノート)の作り方

テセウス・ノートは超便利ですが、ダンボール切り出したり、大変な印象があると思います。 でも、結構簡単なんですよ? 一番かんたんな作り方 材料 材料は100円ショップとかで買ったA6のメモパッドと、輪ゴム2本。こんだけです。 工具もいりません。 輪ゴムは…

タミヤ カムプログラム ロボット付属 SMC黄色キャップ 130モータはいいぞ

タミヤ カムプログラム ロボット付属の SMC黄色キャップ 130モーターいいですね。 ■起動突入電流が問題 楽しい工作なんかを改造して、マイコンでコントロールしようとした時、 一番問題になるのは、起動時の電流なんですが、 SMC黄色キャップモーターはかな…

HPは、何故Apple 1を販売するチャンスを不意にしたのか?あるいは2.27%のHacker Wozniak

Hewlett PackardがApple 1 を発売しなかった理由には、こんな部分もあったんだ... -- Hewlette Packard社の Woz Apple Computer創始者で、一人でApple 1、ほとんど1人でApple 2を作り上げたSteve Wozniak(スティーブ オズニアック)。天才で正直で金持ちでイ…

DVIとアナログ出力を同時に

DVIとアナログ出力を同時に出力する方法。 8H どうも、今考えてる回路の思った周波数のclk PLLが不可能っぽく、H,Vにジッタが必須になるみたいで それだと、DVIだとヘマった時に誰のせいでコケてるのか分離できず、ハマりそう。 確認用にアナログ出力が必須…